Página inicial : Extensões de arquivos : .sv File

.sv Extensão de arquivo

Tipo de arquivo SystemVerilog Source Code File

Desenvolvedor IEEE
Categoria Developer Files
Formato Text

.SV opção número

Um arquivo SV é um arquivo de código-fonte escrito na linguagem SystemVerilog, que é um superconjunto da linguagem Verilog usada para especificar modelos de sistemas eletrônicos. Ele contém o código fonte do SystemVerilog.

Mais informação

arquivo SV aberto no Sigasi Studio 3.8

Você pode abrir arquivos SV em qualquer editor de texto. No entanto, você pode querer usar um editor projetado especificamente para lidar com o código fonte SystemVerilog, como o Sigasi Studio ou ModelSim.

O SystemVerilog é usado na indústria de semicondutores e design eletrônico. É uma combinação de recursos de linguagem de descrição de hardware (HDL) e recursos de linguagem de verificação de hardware (HVL) com recursos C e C ++. Foi adotado como Padrão IEEE 1800-2005 em 2005, Padrão IEEE 1800-2009 em 2009 e IEEE 1800-2017 em 2017.

NOTA: IEEE significa Institute of Electrical and Electronics Engineers.

Programas que abrem arquivos SV


Sobre os SV arquivos

Nosso objetivo é ajudá-lo a entender o que é responsável pelo arquivo com a extensão * .SV e como abri-lo. O tipo de arquivo Arquivo de Desenho do Designer Pessoal, as descrições de programas para Mac, Windows, Linux, Android e iOS listados nesta página foram examinados e verificados individualmente pela equipe FileMemo. Nós nos esforçamos para obter 100% de precisão e publicamos apenas informações sobre os formatos de arquivos que testamos diversas vezes.